Vim 自动补全成对的括号和引号

炫日分享了一个 自动补全成对的括号 的脚本,【注】:原文代码中引号被转义成了中文引号,下面是修正后的脚本。

inoremap ( ()<ESC>i
inoremap ) <c-r>=ClosePair(')')<CR>
inoremap { {}<ESC>i
inoremap } <c-r>=ClosePair('}')<CR>
inoremap [ []<ESC>i
inoremap ] <c-r>=ClosePair(']')<CR>
inoremap < <><ESC>i
inoremap > <c-r>=ClosePair('>')<CR>

function ClosePair(char)
    if getline('.')[col('.') - 1] == a:char
        return "\<Right>"
    else
        return a:char
    endif
endf
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16

经启发我增加了对括号和引号更为智能的补全支持, 不过中文全角的括号和引号目前无法通过映射来实现, 对于转义的符号对的支持不佳。

支持 2000 行以内(可以根据系统性能自行配置)文档的全局括号对识别能力。 也就是 2000 行以内的文档,支持跨行的括号对智能匹配能力;当文档行数超出预设值, 则使用行内括号对智能补全。

  • OpenPair:
    1. 如果当前行的括号已经成对匹配,则自动补全右括号 (I)
    2. 如果左括号比右括号多,则自动补全 I(() (I() ((I) (()I
    3. 如果左括号比右括号少,
      I()) 原样输出,不自动补全
      (I)) 同上
      ()I) 同上
      ())I 自动补全:左括号较少,且光标之后字符串进行一次递归上面的条件
  • ClosePair:
    1. 如果光标之后是一个右括号,向右移动一列 (I) ((I) (I)) ()I)
    2. 否则原样输出。

代码见 gist: 449512

更新 (2010/6/24)

最后更新 (2010/7/9)

相关脚本

Help
[count]gg 跳转到第 [count] 行,默认第 1 行。
[count]G 跳转到第 [count] 行,默认最后一行。
[count]j 向下跳转 [count] 行,默认跳转一行。
[count]k 向上跳转 [count] 行,默认跳转一行。
/ 开始搜索。按 <Esc> 退出。
gh 跳转到首页。
gb 跳转到博客首页。
gw 跳转到 Wiki 首页。
gt 跳转到我的 Twitter Profile 页。
gp 跳转到我的 Github Profile 页。
? 打开帮助。按 <Esc> 退出。